TSMC 2021

Sustainability

Report

Highlights

2021 Sustainability Report Highlights

Connect Sustainability with Innovation

Innovation is the foundation that keeps TSMC growing High-performance computing propels humanity to a future with AI Smartphones breaks the limitation of time and space and bridge us with the cloud and to freedom

TSMC Value & Sustainable Development Goals

Economy

Environment

Society

Appendix

Mark Liu

Lora Ho

Chairman and ESG Steering Committee Chairperson

Senior Vice President and ESG Committee Chairperson

Advanced automotive electronics carry our imagination to the infinite world of mobility

IoT ushers in the era of smart cities and industrial 4.0 ESG is in the DNA of every TSMC employee

TSMC uses concrete action to connect people with people and with nature to welcome beautiful change

With an infinite stream of innovative technology solutions, TSMC strives to achieve sustainability and connect to a future of common good

Over the past two years, COVID-19 has continued to impact our world while the threat of extreme climate conditions has steadily increased. As a key player in the global semiconductor supply chain, TSMC has the responsibility of working with industry partners to take continuous action with regard to ESG (Environmental, Social and Governance) issues.

We work diligently to integrate the ESG ethos into our daily operations with the aim of sustainable development, formulating systematic management strategies, implementing related measures and reviewing action plans. Meanwhile, TSMC looks forward to working with partners and stakeholders from all walks of life to create a sustainable future.

I look forward to strengthening and expanding our sustainable actions to bring about innovative breakthroughs. The Sustainability Report that we compile each year keeps pace with global sustainability trends and has become a guide for organizations to continue advancing their ESG efforts.We expanded our information disclosure and external communications and continued to accumulate our ESG intellectual property. In addition to the existing EP&L Report and TCFD Report, TSMC also released, for the first time, Materiality Analysis Report and the UN SDGs Action Report. Sustainability is a journey without end. As the company continues to grow, we find ourselves with growing responsibilities as well. We will continue to hold true to our ESG vision to uplift society as we strive on forward with steadfast resolve.

2

2021 Sustainability Report Highlights

TSMC Value & Sustainable Development Goals

Economy

Environment

Society

Appendix

Innovation Value

Innovations for Sustainability

TSMC continues to advance semiconductor manufacturing technologies and services to enable our customers to unleash more than 12,300 chip innovations in 2021. These innovations make products more advanced, capable, intelligent, energy-efficient, and safer, allowing us to greatly increase quality of life and move towards a sustainable well-being society.

Technology Development Focuses

  • Continue to drive semiconductor scaling for both logic and specialty technologies
  • Continue to expand specialty technology offerings
  • Continue to advance and expand TSMC 3DFabricTM technology offerings

Benefits to Customer Product Innovation

  • Boost product computing power
  • Increase product energy effificiency
  • Enable smaller form factor
  • Provide greater chip design flexibility

Customer Product/ Applications

Make communication more effective and work, play, and learn anytime and anywhere

  • Application Processors (AP)
  • Baseband
  • RF Transceivers
  • Wireless Local Area Networks (WLAN)
  • CMOS Image Sensor (CIS)
  • Near Field Communication (NFC)
  • Bluetooth
  • Global Positioning Systems (GPS), etc.

Enable 5G, Artifcial Intelligence (AI), cloud, and data centers for transferring and processing vast amounts of data anywhere and anytime

  • Central Processing Unit (CPU)
  • Graphics Processor Unit (GPU)
  • Field Programmable Gate Array (FPGA)
  • Server CPU
  • Artifiicial Intelligence/Machine Learning (AI/ML) Accelerator
  • Network Processing Unit (NPU)
  • High-speedNetworking Chip, etc.

Empower innovations for artifcial intelligence of things (AIoT) and accelerate digital transformation to greener make a more convenient and greener living, and improve health care quality

  • Microcontroller Unit (MCU)
  • Application Processors (AP)
  • Baseband
  • RF Transceivers
  • Wireless Local Area Networks (WLAN)
  • CMOS Image Sensors (CIS)
  • Near Field Communication (NFC)
  • Bluetooth
  • Embedded Flash Memory
  • Radio Frequency Identifiication, (RFID) etc.

Make vehicles, including hybrid/

Enable AI-powered smart devices

electrical cars, safer, smarter, and

greener

Microcontroller Unit (MCU)

Microcontroller Unit (MCU)

Baseband

RF Transceivers

Baseband

Wireless Local Area Networks (WLAN)

RF Transceivers

CMOS Image Sensors (CIS)

Wireless Local Area Networks (WLAN)

Near Field Communication (NFC)

CMOS Image Sensors (CIS)

Bluetooth

Near Field Communication (NFC)

Embedded Flash Memory

Radar

Power Management ICs

Ethernet Switches

Timing Controllers (T-CON) for Smart 8K/4K Digital TV (DTV)

Power Management ICs, etc.

4K Streaming Set-top Box (STB)/Over-the-top (OTT)

Digital Single-lens Refex (DSLR) Devices,and so on.

Technology

PlatformsSmartphone

High Performance Computing

Internet of Things (IoT)

Automotive

Digital Consumer Electronics

3

2021 Sustainability Report Highlights

TSMC Value & Sustainable Development Goals

Economy

Environment

Society

Appendix

Carry Out the UN Sustainable Development Goals

In 2022, TSMC published the first TSMC UN SDGs Action Report. In line with the reporting framework developed by the GRI, the report details how TSMC supports the 2030 Agenda for Sustainable Development through five principles of disclosure: Plans, Commitments,

ESG Directions

Drive Green

Manufacturing

Process & Reporting

TSMC identifies the link between SDGs, the five sustainability roles, and value chain stages through the following three steps: Understand & Prioritize SDGs, Goals & Integration, and Disclosure and Communication. Long-term goals and action plans are then formulated accordingly.

TSMC Sustainability Roles

An Innovation Pioneer

Actions, Progress, and Collaboration. Under the leadership of ESG Steering Committee Chairman Mark Liu, TSMC continued to spotlight nine SDGs: SDG 3 (Good Health and Wellbeing), SDG 4 (Quality Education), SDG 6 (Clean Water and Sanitation), SDG 7 (Affordable and Clean Energy), SDG 8 (Decent Work and Economic Growth), SDG 9 (Industry, Innovation and Infrastructure), SDG 12 (Responsible Consumption and Production), SDG 13 (Climate Action), and SDG 17 (Partnership for the Goals). TSMC has also deployed 44 ESG programs and 23 measurable long-term goals for 2030 to effect change through real action.

Build a Responsible

Supply Chain

Create a Diverse and Inclusive Workplace

1

Understand and

Prioritize SDGs

9

SDGs

Progres

Track

progress

Cooperation

Plan

Cooperate with

Declare support

stakeholders

for SDGs

Upstream

TSMC

Customer

Procurement

Operations

Use

TSMC purchases TSMC ofers

TSMC provides

raw materials,

wafer fabrication

fully-produced

equipment,

and packaging/

customer

and related

testing services

products

services

2

Goals and Integration

23

Long-term Goals

Commitment

Set

measurable

A Responsible Purchaser

A Practitioner of Green Power

Develop

Talent

goals

3

An Admired Employer

Care for the

Underprivileged

Disclosure and

Communication

Action

44

Take actions

Sustainable Approaches

Power to Change Society

4

2021 Sustainability Report Highlights

TSMC 2030 Sustainability Goals

TSMC Value & Sustainable Development Goals

Economy

Environment

Society

Appendix

Trending towards positive impact over past three years Trending towards negative impact over past three years

Shown signs of instability over the past three years or new/adjusted targets without previous data

Clean Water and Sanitation

Reduce Water Risks

Reduce unit water consumption (liter/12-inch equivalent wafer mask layers) by 30% (Base year: 2010)

Provide consultation on water reduction forsuppliers and reduce water consumption by a cumulative total of 35 million metric tons (Base year: 2020)

Raise Effluent Standards

Water pollution composite indicator 50% above effluent standards

Increase Usage of Reclaimed Water Increase more than 60% replacement of water resources with reclaimed water

Good Health and Wellbeing

Improve Medical Care to Seniors Living Alone

Ofer 12,000 services to senior citizens each year through Network of Compassion

Quality Education

Promote Filial Piety Among Youth

Affordable and Clean Energy

Manufacture with Greater Energy Efficiency

Use Renewable Energy

Double energy efficiency after five years of mass

Starting from 3nm new fabs, renewable

production for each process technology

energy accounts for more than 20% of energy

Save 5,000 GWh cumulatively between 2016 and

consumption and the purchasing of renewable

2030 through new energy-saving measures

energy to increase annually to achieve 40%

Work with Suppliers Toward Energy Conservation

renewable energy company-wide

Provide consultation on power reduction for suppliers and reduce energy consumption by a total of 1,500 GWh (Base year: 2018)

Promote filial piety education in 120 educational institutions

Youth Education and Talent

Empowerment

Invest NT$50 million or more into quality educational resources

Care for Children in Remote Areas

More than 10,000 hours in volunteer storytelling services each year

Help over 10,000 children in remote areas

Decent Work and Economic Growth

Offer Competitive Compensation

Maintain position above 75th percentile among industry peers in total compensation

Promote Workplace Safety

Disabling Injury Frequency Rate (FR): < 0.3

Disabling Severity Rate (SR): < 3

Incident Rate per 1,000 Employees: <0.20

All waste treatment vendors shall acquire ISO 14001 or other international EHS Management certification

Ensure 100% critical high-risk suppliers complete Safety and Health consultation

Assist all high-risk contractors obtain ISO 45001 certification for occupational safety and health management system

Ensure a cumulative total of 1,500 suppliers participate in the Environmental Safety and Health (ESH) training program (Base year: 2016)

Decent Work and Economic Growth

Strengthen Industry Sustainability

Ensure 100% Tier 1 suppliers complete the Sustainability Management Self-assessment Questionnaire

Ensure 100% critical suppliers receive Code of Conduct audits by RBA-certified agencies every 3 years

Audit a cumulative total of 30 suppliers ( 3 suppliers per year) for due diligence on responsible mineral sourcing

Ensure 980 critical high-risk suppliers receive S.H.A.R.P.audits at a pace of 100 suppliers a year

Support Local Suppliers

Ensure a cumulative total of 145 local raw materials suppliers receive consultation on process advancement and quality improvement (Base year: 2016)

Encourage 100% of major local raw materials suppliers and 75% of back- end packaging materials suppliers to participate in the Taiwan Continuous Improvement Award with 60% of them to advance to the finals

Industry, Innovation and Infrastructure

Encourage Innovation

Responsible

Consumption and Production

Reduce Industrial Waste Output Outsourced unit waste disposal per wafer 0.50 (kg/12-inchequivalent wafer mask layer

Promote Circular Economy Develop multiple types of electronics- grade chemicals for recycling within TSMC Reduce waste production among local major suppliers by 35% (Base year: 2014)

Improve Environmental Protection Reduce the unit air pollutant emissions by 60% (Base year: 2015)

Reduction rate of volatile organic gases > 99

Climate Action

Implement Adaptation Strategies to Climate Risks

Reduce unit GHG e missions compared to the base year (metric ton of carbon dioxide equivalent (MTCO2e)/12-inch equivalent wafer mask layer) by 30% (Base year: 2020), and restore GHG emissions to the 2020 level

Ensure 100% high energy consumption suppliers receive ISO 14064 GreenhouseGas Emission verification

0 days of production interruption resulted from climate disasters

Strengthen Supply Chain Climate Resilience

Ensure a cumulative total of 300 raw materials suppliers participate in the annual emergency response drill (Base year: 2016)

Responsible

Consumption and Production

Facilitate Health Management

0 cases of occupational disorders caused by exposure to chemicals

Society

Environment

Climate Action

Environmental/Energy-saving Volunteers Environment protection volunteers provide services of at least 1,200 times each year

Economy

Maintain TSMC's technology leadership and invest 8.5% of revenue R&D expenses

annually

Over 80,000 global patents granted

Over 200,000 trade secret registrations

In line with the Company's technology roadmap, TSMC provides customers with over

1,200 types of available wafer manufacturing and process technology, and over 170

types of advanced packaging technology

Responsible

Consumption and Production

Chemicals Management

Develop the ability to analyze 100% of CMR (Carcinogenic, Mutagenic, and

Reprotoxic) substances and help the suppliers that supply materials with

potential risks develop the same capabilities

N-methylpyrrolidone (NMP) 100% replacement (Base year: 2016)

No process involves Perfuoroalkyl Substances (PFASs) that have more than four

carbons

5

This is an excerpt of the original content. To continue reading it, access the original document here.

Attachments

  • Original Link
  • Original Document
  • Permalink

Disclaimer

TSMC - Taiwan Semiconductor Manufacturing Company Ltd. published this content on 29 July 2022 and is solely responsible for the information contained therein. Distributed by Public, unedited and unaltered, on 29 July 2022 04:53:04 UTC.