In its relentless pursuit of Moore's Law, Intel is unveiling key packaging, transistor and quantum physics breakthroughs fundamental to advancing and accelerating computing well into the next decade.

At IEEE International Electron Devices Meeting (IEDM) 2021, Intel outlined its path toward more than 10x interconnect density improvement in packaging with hybrid bonding, 30% to 50% area improvement in transistor scaling, major breakthroughs in new power and memory technologies, and new concepts in physics that may one day revolutionize computing.

'At Intel, the research and innovation necessary for advancing Moore's Law never stops. Our Components Research Group is sharing key research breakthroughs at IEDM 2021 in bringing revolutionary process and packaging technologies to meet the insatiable demand for powerful computing that our industry and society depend on. This is the result of our best scientists' and engineers' tireless work. They continue to be at the forefront of innovations for continuing Moore's Law.'

Robert Chau, Intel Senior Fellow and general manager of Components Research

Why It Matters: Moore's Law has been tracking innovations in computing that meet the demands of every technology generation from mainframes to mobile phones. This evolution is continuing today as we move into a new era of computing with unlimited data and artificial intelligence.

Continuous innovation is the cornerstone of Moore's Law. Intel's Components Research Group is committed to innovating across three key areas: essential scaling technologies for delivering more transistors; new silicon capabilities for power and memory gains and exploration of new concepts in physics to revolutionize the way the world does computing. Many of the innovations that broke through previous barriers of Moore's Law and are in today's products started with the work of Component Research - including strained silicon, Hi-K metal gates, FinFET transistors, RibbonFET, and packaging innovations including EMIB and Foveros Direct.

How We Are Doing It: The breakthroughs revealed at IEDM 2021 demonstrate Intel is on track to continue the advancement and benefits of Moore's Law well beyond 2025 through its three areas of pathfinding.

Intel is pursuing significant research in essential scaling technologies for delivering more transistors in future product offerings: Researchers at the company have outlined solutions for the design, process, and assembly challenges of hybrid bonding interconnect, envisioning a more than 10x interconnect density improvement in packaging. At the Intel Accelerated event in July, Intel announced plans to introduce Foveros Direct, enabling sub-10-micron bump pitches, providing an order of magnitude increase in the interconnect density for 3D stacking. To enable the ecosystem to gain benefits of advanced packaging, Intel is also calling for the establishment of new industry standards and testing procedures to enable a hybrid bonding chiplet ecosystem.

Looking beyond its gate-all-around RibbonFET, Intel is mastering the coming post-FinFET era with an approach to stacking multiple (CMOS) transistors that aims to achieve a maximized 30% to 50% logic scaling improvement for the continued advancement of Moore's Law by fitting more transistors per square millimeter.

Intel is also paving the way for Moore's Law advancement into the angstrom era with forward-looking research showing how novel materials just a few atoms thick can be used to make transistors that overcome the limitations of conventional silicon channels, enabling millions more transistors per die area for evermore powerful computing in the next decade.

About Components Research

Components Research, the research group of Intel Technology Development, is responsible for delivering revolutionary process and packaging technology options that extend Moore's Law and enable Intel products and services. It keeps Intel's research and development pipeline full by working with the company's business units to anticipate future needs and collaborating with external groups - from U.S. government research labs and industry consortia to university research groups and suppliers.

About Intel

Intel (Nasdaq: INTC) is an industry leader, creating world-changing technology that enables global progress and enriches lives. Inspired by Moore's Law, we continuously work to advance the design and manufacturing of semiconductors to help address our customers' greatest challenges. By embedding intelligence in the cloud, network, edge and every kind of computing device, we unleash the potential of data to transform business and society for the better.

Contact:

Tel: 312-360-5123

Fax: 312-601-4332

Web: www.computershare.com

(C) 2021 Electronic News Publishing, source ENP Newswire